Logo
Unionpédia
Communication
Disponible sur Google Play
Nouveau! Téléchargez Unionpédia sur votre appareil Android™!
Gratuit
Accès plus rapide que le navigateur!
 

VHDL

Indice VHDL

VHDL est un langage de description de matériel destiné à représenter le comportement ainsi que l'architecture d’un système électronique numérique.

50 relations: Actel, Ada (langage), Altera, Années 1980, Application-specific integrated circuit, Auto-complétion, Bascule (circuit logique), Bit, Booléen, Boundary scan description language, C (langage), Circuit intégré logique, Circuit logique programmable, Coloration syntaxique, Conception assistée par ordinateur, Concurrence, Crimson Editor, Département de la Défense des États-Unis, Dolphin Design, Emacs, Fonction logique, Gedit, IEEE 1076, Impédance (électricité), Institute of Electrical and Electronics Engineers, KWrite, Langage de description de matériel, Lattice Semiconductor, Macro-définition, Mentor Graphics, Mot clé, Multiplexeur, Norme, Notepad++, Pliage de code, Porte logique, Registre de processeur, SciTE, Simulateur (électronique), Simulation informatique, Smultron, Synthèse logique, SystemC, Verilog, Verilog-AMS, Very High Speed Integrated Circuit, VHDL-AMS, Vim, Visual Studio Code, Xilinx.

Actel

Actel est un fabricant de solutions FPGA Actel est surtout connu pour ses FPGA anti-fusibles et pour ses FPGA FLASH.

Nouveau!!: VHDL et Actel · Voir plus »

Ada (langage)

Ada est un langage de programmation orienté objet dont les premières versions remontent au début des années 1980.

Nouveau!!: VHDL et Ada (langage) · Voir plus »

Altera

Altera est un fabricant de composants reprogrammables (FPGA, CPLD).

Nouveau!!: VHDL et Altera · Voir plus »

Années 1980

Avênement de l'ordinateur personnel avec l'IBM PC 5150. Légende/Fin Les années 1980 couvrent la période du au.

Nouveau!!: VHDL et Années 1980 · Voir plus »

Application-specific integrated circuit

Un ASIC. Un ASIC (acronyme de l'anglais application-specific integrated circuit, littéralement « circuit intégré propre à une application ») est un circuit intégré spécialisé.

Nouveau!!: VHDL et Application-specific integrated circuit · Voir plus »

Auto-complétion

Exemple de zone de saisie avec ''auto-complétion'') L'auto-complétion ou autocomplétion ou complétion automatique, souvent simplement complétion, parfois complètement ou complètement automatique, est une fonctionnalité informatique permettant à l'utilisateur de limiter la quantité d'informations qu'il saisit avec son clavier, en se voyant proposer un complément qui pourrait convenir à la chaîne de caractères qu'il a commencé à taper.

Nouveau!!: VHDL et Auto-complétion · Voir plus »

Bascule (circuit logique)

Une bascule est un circuit logique capable, dans certaines circonstances, de maintenir les valeurs de ses sorties malgré les changements de valeurs d'entrées, c'est-à-dire comportant un état « mémoire ».

Nouveau!!: VHDL et Bascule (circuit logique) · Voir plus »

Bit

Le bit est l'unité la plus simple dans un système de numération, ne pouvant prendre que deux valeurs, désignées le plus souvent par les chiffres 0 et 1.

Nouveau!!: VHDL et Bit · Voir plus »

Booléen

George Boole (1864-1865) L'homme ayant mis en place la première structure algébrique utilisée en logique mathématique, en informatique et en électronique. En programmation informatique, un booléen est un type de variable à deux états (généralement notés vrai et faux), destiné à représenter les valeurs de vérité de la logique et l'algèbre booléenne.

Nouveau!!: VHDL et Booléen · Voir plus »

Boundary scan description language

Le Boundary scan description language (BSDL) est un langage de description utilisé pour tester des puces électroniques grâce à un port JTAG.

Nouveau!!: VHDL et Boundary scan description language · Voir plus »

C (langage)

C est un langage de programmation impératif, généraliste et de bas niveau.

Nouveau!!: VHDL et C (langage) · Voir plus »

Circuit intégré logique

Les circuits intégrés logiques sont des circuits intégrés (CI) travaillant en tout-ou-rien (TOR).

Nouveau!!: VHDL et Circuit intégré logique · Voir plus »

Circuit logique programmable

Un circuit logique programmable ou PLD (Programmable Logical Device), est un circuit intégré logique qui peut être programmé après sa fabrication.

Nouveau!!: VHDL et Circuit logique programmable · Voir plus »

Coloration syntaxique

Coloration syntaxique sur un programme en langage C sous GNU Emacs. La coloration syntaxique est une fonctionnalité informatique proposée par certains éditeurs de texte, qui consiste à formater automatiquement chacun des éléments du texte affiché en utilisant une couleur et une fonte caractéristiques de son type.

Nouveau!!: VHDL et Coloration syntaxique · Voir plus »

Conception assistée par ordinateur

vignette La conception assistée par ordinateur ou CAO (en anglais, computer aided design ou CAD) comprend l'ensemble des logiciels et des techniques de modélisation géométrique permettant de concevoir, de tester virtuellement – à l'aide d'un ordinateur et des techniques de simulation numérique – et de réaliser des produits manufacturés et les outils pour les fabriquer.

Nouveau!!: VHDL et Conception assistée par ordinateur · Voir plus »

Concurrence

Deux enseignes de restauration rapide concurrentes côte à côte à Paris. La concurrence est la rivalité entre plusieurs agents économiques pour acquérir des parts de marché sur un même marché, en vendant des biens identiques ou similaires.

Nouveau!!: VHDL et Concurrence · Voir plus »

Crimson Editor

Crimson Editor est un éditeur de texte gratuit créé par M. Ingyu Kang et fonctionnant sous Microsoft Windows.

Nouveau!!: VHDL et Crimson Editor · Voir plus »

Département de la Défense des États-Unis

Le département de la Défense des États-Unis (abrégé par DoD ou par DOD) est le département exécutif du gouvernement américain chargé de fournir les forces militaires nécessaires pour la défense des États-Unis, en temps de guerre et en temps de paix.

Nouveau!!: VHDL et Département de la Défense des États-Unis · Voir plus »

Dolphin Design

Dolphin Design est une société de conception de circuits intégrés, fondée en 2018 à la suite de la liquidation judiciaire de la société Dolphin Integration.

Nouveau!!: VHDL et Dolphin Design · Voir plus »

Emacs

Emacs est une famille d'éditeurs de texte disposant d'un ensemble extensible de fonctionnalités.

Nouveau!!: VHDL et Emacs · Voir plus »

Fonction logique

Il existe deux grands types de fonctions logiques.

Nouveau!!: VHDL et Fonction logique · Voir plus »

Gedit

est un éditeur de texte libre (sous licence GPL), compatible UTF-8.

Nouveau!!: VHDL et Gedit · Voir plus »

IEEE 1076

Le standard IEEE 1076 définit le langage de description de matériel VHSIC-HDL ou VHDL.

Nouveau!!: VHDL et IEEE 1076 · Voir plus »

Impédance (électricité)

L'impédance électrique mesure l'opposition d'un circuit électrique au passage d'un courant alternatif sinusoïdal.

Nouveau!!: VHDL et Impédance (électricité) · Voir plus »

Institute of Electrical and Electronics Engineers

L’Institute of Electrical and Electronics Engineers ou IEEEL'acronyme se prononce « ail triple i » ou « i trois e ».

Nouveau!!: VHDL et Institute of Electrical and Electronics Engineers · Voir plus »

KWrite

KWrite est un éditeur de texte fourni avec l'environnement de bureau KDE disponible pour les systèmes d'exploitation GNU/Linux, BSD et autres systèmes apparentés à Unix.

Nouveau!!: VHDL et KWrite · Voir plus »

Langage de description de matériel

Un langage de description de matériel, ou du matériel (ou HDL pour hardware description language en anglais) est un langage informatique permettant la description d'un circuit électronique au niveau des transferts de registres (RTL).

Nouveau!!: VHDL et Langage de description de matériel · Voir plus »

Lattice Semiconductor

Lattice Semiconductor Corporation est un fabricant américain de circuits logiques programmables (FPGA, CPLD et SPLD).

Nouveau!!: VHDL et Lattice Semiconductor · Voir plus »

Macro-définition

En programmation informatique, une macro-définition ou simplement macro est l'association d'un texte de remplacement à un identificateur, tel que l'identificateur est remplacé par le texte dans tout usage ultérieur.

Nouveau!!: VHDL et Macro-définition · Voir plus »

Mentor Graphics

Mentor Graphics est une entreprise basée aux États-Unis.

Nouveau!!: VHDL et Mentor Graphics · Voir plus »

Mot clé

Un mot clé (orthographié aussi mot-clé, mot clef ou mot-clef) est un mot ou un groupe de mots utilisé pour caractériser le contenu d’un document et permettre une recherche d'informations.

Nouveau!!: VHDL et Mot clé · Voir plus »

Multiplexeur

Un multiplexeur (abréviation: MUX) est un circuit permettant de concentrer sur une même voie de transmission différents types de liaisons (informatique, télécopie, téléphonie, télétex) en sélectionnant une entrée parmi N. Il possédera donc une sortie et N entrées, ainsi qu'une entrée de commande de log2 N bits permettant de choisir quelle entrée sera sélectionnée.

Nouveau!!: VHDL et Multiplexeur · Voir plus »

Norme

Une norme, du latin norma « équerre, règle », désigne un état habituellement répandu, moyen, considéré le plus souvent comme une règle à suivre.

Nouveau!!: VHDL et Norme · Voir plus »

Notepad++

Ancien logo. est un éditeur de texte libre générique, fonctionnant sous Windows, codé en C++, qui intègre la coloration syntaxique de code source pour les langages et fichiers C, C++, Java, C#, XML, HTML, PHP, JavaScript, makefile, art ASCII, doxygen,.bat, MS fichier ini, ASP, Visual Basic/VBScript, SQL, Objective-C, CSS, Pascal, Perl, Python, R, MATLAB, Lua, TCL, Assembleur, Ruby, Lisp, Scheme, Properties, Diff, Smalltalk, PostScript et VHDL ainsi que pour tout autre langage informatique, car ce logiciel propose la possibilité de créer ses propres colorations syntaxiques pour un langage quelconque.

Nouveau!!: VHDL et Notepad++ · Voir plus »

Pliage de code

Exemple de pliage de code PHP sous vim. Dans un éditeur de texte ou dans certains environnements de développement intégrés, le pliage de code ou repliage (folding en anglais) est une fonctionnalité permettant de masquer des parties du texte, bien souvent en le remplaçant par une seule ligne descriptive de son contenu.

Nouveau!!: VHDL et Pliage de code · Voir plus »

Porte logique

Composants TTL Une porte logique (gate) est un circuit électronique réalisant des opérations logiques (booléennes) sur une séquence de bits.

Nouveau!!: VHDL et Porte logique · Voir plus »

Registre de processeur

Un registre est un emplacement de mémoire interne à un processeur.

Nouveau!!: VHDL et Registre de processeur · Voir plus »

SciTE

SciTE est un éditeur de texte graphique, gratuit et open source fonctionnant sous les environnements Linux et Windows.

Nouveau!!: VHDL et SciTE · Voir plus »

Simulateur (électronique)

Un simulateur électronique est un logiciel modélisant le fonctionnement de circuits électroniques afin de pouvoir prévoir et analyser leur comportement.

Nouveau!!: VHDL et Simulateur (électronique) · Voir plus »

Simulation informatique

typhon Mawar produite par le Modèle météorologique Weather Research and Forecasting La simulation informatique ou numérique est l'exécution d'un programme informatique sur un ordinateur ou réseau en vue de simuler un phénomène physique réel et complexe (par exemple: la chute et les rebonds d’un corps sur un support mou, la résistance d’une plateforme pétrolière à la houle, la fatigue d’un matériau sous sollicitation vibratoire, l'usure d’un roulement à billes…).

Nouveau!!: VHDL et Simulation informatique · Voir plus »

Smultron

Smultron est un éditeur de texte avec coloration syntaxique pour la plateforme Mac OS X. Il est écrit en Objective-C en utilisant l'API Cocoa.

Nouveau!!: VHDL et Smultron · Voir plus »

Synthèse logique

En électronique, la synthèse logique (RTL synthesis) est la traduction d'une forme abstraite de description du comportement d'un circuit (voir Register Transfer Level) en sa réalisation concrète sous forme de portes logiques.

Nouveau!!: VHDL et Synthèse logique · Voir plus »

SystemC

SystemC est un langage de programmation compatible avec C++, pour la conception, la modélisation et la vérification au niveau système d'un circuit logique.

Nouveau!!: VHDL et SystemC · Voir plus »

Verilog

Verilog, de son nom complet Verilog HDL est un langage de description matériel de circuits logiques en électronique, utilisé pour la conception d'ASICs (application-specific integrated circuits, circuits spécialisés) et de FPGAs (field-programmable gate array).

Nouveau!!: VHDL et Verilog · Voir plus »

Verilog-AMS

Verilog-AMS est un dérivé du langage de description matériel Verilog.

Nouveau!!: VHDL et Verilog-AMS · Voir plus »

Very High Speed Integrated Circuit

VHSIC (abréviation de l'anglais Very High Speed Integrated Circuits) était une initiative du département de la Défense des États-Unis datant des années 1980 visant à développer les circuits électroniques intégrés à très haute vitesse.

Nouveau!!: VHDL et Very High Speed Integrated Circuit · Voir plus »

VHDL-AMS

VHDL-AMS est un dérivé du langage de description matériel VHDL (norme IEEE 1076-1993).

Nouveau!!: VHDL et VHDL-AMS · Voir plus »

Vim

Vim est un éditeur de texte, c’est-à-dire un logiciel permettant la manipulation de fichiers texte.

Nouveau!!: VHDL et Vim · Voir plus »

Visual Studio Code

Visual Studio Code est un éditeur de code extensible développé par Microsoft pour Windows, Linux et macOS.

Nouveau!!: VHDL et Visual Studio Code · Voir plus »

Xilinx

FPGA Xilinx Spartan-3 (référence XC3S400) contenant formatnum:400000 portes logiques Xilinx (nom complet Xilinx, Inc.) est une entreprise américaine de semi-conducteurs.

Nouveau!!: VHDL et Xilinx · Voir plus »

Redirections ici:

VHSIC Hardware Description Language, Very High Speed Integrated Circuit Hardware Description Language.

SortantEntrants
Hey! Nous sommes sur Facebook maintenant! »